看板 Electronics 關於我們 聯絡資訊
之前不太懂為什麼有些人喜歡在Windows上用Debussy看 數位電路模擬的波形。ModelSim不就可以看波形了嗎? 後來有一天去問別人這個問題, 別人就跟我說ModelSim看波形有一個缺點, 就是只有被加到波形視窗的訊號,波形模擬後才會被記錄下來。 如果你後來想看其它訊號,就只能重新加入、重跑模擬,很浪費時間。 有了Debussy,我們就可以把所有波形dump成FSDB檔, 再用nWave看波形,想看什麼訊號輕鬆加入就可以了,不必重跑模擬。 我後來想想也覺得有道理,也想嘗試使用這種方法。 但有幾個問題,第一我沒有正版Debussy,雖然很多人有特別版... 第二我常帶我Windows筆電帶來帶去,不常連上工作站, 而且有些工作也不一定要連工作站,連工作站還要常跟本機電腦資料同步。 但在Windows上一個免費的HDL simulator就是ModelSim, ModelSim不能dump FSDB。 幸好ModelSim支援Verilog的VCD dump,如下兩個tasks: $dumpfile("test.vcd"); $dumpvars; 而GTKWave是一個(聽說最強的)免費的VCD viewer。 這兩個東西就解決我上面的問題。 我使用了GTKWave一下感覺還不錯, 基本功能很夠,例如zoom in/out、改變radix。 有興趣的人可以在這下載GTKWave for Windows: http://www.dspia.com/gtkwave.html -- ※ 發信站: 批踢踢實業坊(ptt.cc) ◆ From: 218.168.2.248
zxvc:GTKWave還支援Reload的功能 :) 08/30 17:06
zxvc:重跑模擬產生新的VCD檔,不必關掉GTKWave就可以更新波形,非 08/30 17:08
zxvc:常適合在debug特定訊號。 08/30 17:09
phantasma:不懂第二段,modelsim在開始simulation之前下這個指令 08/30 17:56
phantasma:"log -r /dut/*",不就可以把全部的訊號都存起來了嘛? 08/30 17:56
phantasma:會存在vsim.wlf這個檔案裡面,下次再打 Vsim vsim.wlf 08/30 17:57
phantasma:就可以把之前的waveform打開 08/30 17:57
zxvc:樓上,原來ModelSim可以這樣用 XD 08/30 19:39
zxvc:不過GTKWave的reload功能,debug很方便 :P 08/30 19:42
ksmrt0123:modelSim是可以dump fsdb的, 要設PLI (debussy有說明) 08/30 23:01
finalhaven:那是要有裝Debussy才可以在Modelsim Dump .fsdb的檔案 08/30 23:10
zxvc:原來如此(筆記ing)。 08/30 23:39
sneak: 重跑模擬產生新的VCD https://muxiv.com 11/11 15:22
sneak: 原來如此(筆記ing) https://noxiv.com 01/04 22:01